quick.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

   

space.gif

   

space.gif

  ../../images/main/bullet_green_ball.gif D latch
   

space.gif

  ../../images/main/bulllet_4dots_orange.gif Regular D Latch
   

space.gif


  1 //-----------------------------------------------------
  2 // Design Name : dlatch_reset
  3 // File Name   : dlatch_reset.sv
  4 // Function    : DLATCH async reset
  5 // Coder      : Deepak Kumar Tala
  6 //-----------------------------------------------------
  7 module dlatch_reset (
  8 input  wire data   , // Data Input
  9 input  wire en     , // LatchInput
 10 input  wire reset  , // Reset input
 11 output reg  q        // Q output
 12 );
 13 //-------------Code Starts Here---------
 14 always_latch
 15 if (~reset) begin
 16   q <= 1'b0;
 17 end else if (en) begin
 18   q <= data;
 19 end
 20 
 21 endmodule //End Of Module dlatch_reset
You could download file sv_examples here
   

space.gif

   

space.gif

   

space.gif

   

space.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

  

Copyright © 1998-2014

Deepak Kumar Tala - All rights reserved

Do you have any Comment? mail me at:deepak@asic-world.com