quick.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

   

space.gif

   

space.gif

   

Verilog Useful links

   

space.gif

   

Verification IP's

  • Smart Design Verification : This company develops SystemVerilog Verification IP for GigaBit Ethernet, 10G Ethernet, LIN Protocol, CAN, I2C, AMBA. Also provides design and verification services.
  • Wipro : This company provides complete ASIC design and verification soultions.
  • HCL : This company provides complete ASIC design and verification soultions.
   

space.gif

   

Syntax and semantics of Verilog (LRM)

   

space.gif

   

Verilog BFM Quick Reference

   

space.gif

   

Verilog PLI

  • Hot PLI Stuff : Introduction to Verilog PLI and few examples
  • Project VeriPage : Your one stop source for Verilog Programming Language Interface (PLI) resources.
   

space.gif

   

Verilog Models

   

space.gif

   

Free Verilog simulators (Demo Versions)

  • Verilogger : Supports up to 500 Lines, I suggest this is good for small projects
  • Bluepc : I have never used this one, but seems like this one supports 1000 Signals/wires/variables ????, May be when I get time I will download and report how this one is compared to other Demo version tools.
  • Veriwell : One of the best for students to start with, but bad luck that this one was brought by www.synapticad.com and latter introduced as Verilogger. There are currently Linux, Dos, Solaris and Windows version of Veriwell floating around the net, Supports up to 1000 Lines of code in freeware mode.
  • Veritak : Verilog HDL Compiler/Simulator supporting major Verilog 2001 HDL features. It is integral environment including VHDL to Verilog translator, syntax highlight editor (Veripad), class hierarchy viewer ,multiple waveform viewer ,source analyzer,and more --available for Windows XP/2000. If you are looking for fast verilog HDL simulator with very good GUI for professional use, while keeping extremely inexpensive price , this is it. You can try Veritak for free for two weeks. This simulator costs around $50.
   

space.gif

   

Freeware Verilog Simulators

  • Icarus Verilog : Icarus Verilog is a Verilog simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format. For batch simulation, the compiler can generate an intermediate form called vvp assembly. This intermediate form is executed by the ``vvp'' command. For synthesis, the compiler generates netlist in the desired format.
  • Cver : Cver is an interpreted Verilog simulator. It follows the 1995 IEEE P1364 standard LRM with some features from Verilog 2000 P1364 standard. Although, because it is used in large company design flows, various changes from the P1364 standard have been made to match results of other simulators. It implements full PLI including PLI vpi_ application programming interface (API) as defined by Verilog 2000 LRM. It has a GDB style command line debugger that implements normal OVI statement level debugger plus GDB ease of use enhancements.
  • Verilator : Verilator is a compiled cycle-based simulator, which is free, but performs as fast as the commercial products.
   

space.gif

   

Freeware VCD Viewers

  • DinoTrace : Tried just once, had very difficult time controlling the color of waveform, seems to be ok for Linux env.
  • GTKWave : Best VCD viewer available in net, Hum seems to crash for large VCD files, works on Windows.
   

space.gif

   

Student Version Synthesis Tools

  • Altera's E+Max : Free tool, synthesis and place&route tool for CPLD and FPGA.
  • Xilinx Web Edition : Free tool for Verilog synthesis and Place and Route of Xilinx CPLD and FPGA
   

space.gif

   

EDA Industry Working Groups

   

space.gif

   

Verilog Multimedia Tutorials

   

space.gif

   

Miscellaneous

   

space.gif

   

Simulators

  • Verilog-XL : This is the most standard simulator in the market, as this is the sign off simulator.
  • NCVerilog : This is the compiled simulator which works as fast as VCS, and still maintains the sign off capabilities of Verilog-XL. This simulator is good when it comes to gate level simulations.
  • VCS : This is worlds fastest simulator, this is also a compiled simulator like NCverilog. This simulator is faster when it comes to RTL simulation. Few more things about this simulator are direct C kernel interface, Covermeter code coverage embedded, better integration with VERA and other Synopsys tools.
  • Finsim : This is 100% compatible simulator with Verilog-XL, runs on Linux, Windows and Solaris. This is compiled simulator like VCS and NCVerilog, but slower then VCS and NCVerilog. A $100 version is available, but I wonder what good this can do to Students ?
  • Modelsim : This is most popular simulator, It has got very good debugger, it supports SystemC, Verilog, VHDL and SystemVerilog.
  • Smash : mixed signal (spice), Verilog, VHDL simulator.
  • Silos : I don't know if anyone is using this, Use to be fast and stable.
  • Veritak : Verilog HDL Compiler/Simulator supporting major Verilog 2001 HDL features. It is integral environment including VHDL to Verilog translator, syntax highlight editor (Veripad), class hierarchy viewer ,multiple waveform viewer ,source analyzer,and more --available for Windows XP/2000. If you are looking for fast verilog HDL simulator with very good GUI for professional use, while keeping extremely inexpensive price , this is it. You can try Veritak for free for two weeks. This simulator costs around $50.
  • MPSim : Axiom's MPSim is an integrated verification environment combining the fastest simulator in the industry with advanced testbench automation, assertion-based verification, debugging, and coverage analysis. Personally I have seen this simulator to be faster then NCsim, it comes with build in Vera support.
   

space.gif

   

Free Simulators

  • Icarus Verilog : This is best Free Verilog simulator out there, it is simulation and synthesis tool. It operates as a compiler, compiling source code written in Verilog (IEEE-1364) into some target format. For batch simulation, the compiler can generate an intermediate form called vvp assembly. This intermediate form is executed by the ``vvp'' command. Icarus continues to get better and better. Icarus is being used for real design work by companies now as a simulator, and is starting to be useful as a synthesizer for a Xilinx FPGA flow as well. All my tutorials are compiled on this compiler.
  • Cver : Cver is an interpreted Verilog simulator. It follows the 1995 IEEE P1364 standard LRM with some features from Verilog 2000 P1364 standard. Although, because it is used in large company design flows, various changes from the P1364 standard have been made to match results of other simulators. It implements full PLI including PLI vpi_ application programing interface (API) as defined by Verilog 2000 LRM.
  • Verilogger : This a simulator plus automatic test bench generation tool, Supports upto 500 Line of Verilog code.
  • Veriwell : This is a very good simulator. Supports PLI and verilog 1995.
   

space.gif

   

VCD Viewer

  • nWave : One of the best VCD viewer, with support for large VCD dumps.
  • Undertow : Undertow waveform viewer.
  • GTKWave : Freeware VCD viewer, Seems far better then other free VCD viewers.
  • Dinotrace : Freeware VCD viewer from veritools
   

space.gif

   

Code Coverage

  • Verification Navigator : An integrated design verification environment that enables a consistent, easy-to-use and efficient verification methodology with a powerful set of best-in-class tools for managing the HDL verification process. These tools include HDL checking, coverage analysis, test suite analysis and FSM analysis. The environment includes an extensible flow manager for easy incorporation of custom verification flows. Verification Navigator supports Verilog, VHDL and mixed language designs and integrates seamlessly with all leading simulation environments.
  • SureCov : Engineering teams designing today's chips and semiconductor IP cores need to know, with confidence, how thoroughly the functional test suite is exercising the design. Verisity's SureCov measures FSM and code coverage with the lowest simulation overhead of any tool available, and without requiring changes to the source design. The SureSight graphical user interface shows exactly which parts of the design have been covered and which have not.
  • Code Coverage Tool : A freeware code coverage tool. Code coverage tool is a Verilog code coverage analysis tool that can be useful for determining how well a test suite is covering the design under test.
   

space.gif

   

Linting

  • Leda : Leda is a code purification tool for designers using the Verilog® and VHDL Hardware Description Language (HDL). Leda is uniquely qualified to analyze HDL code pre-synthesis and pre-simulation and is totally compatible with all popular synthesis and simulation tools and flows. By automating more than 500 design checks for language syntax, semantics and questionable synthesis/simulation constructs, Leda detects common as well as subtle and hard-to-find code defects, thus freeing designers to focus on the art of design.
  • HDLint : A power full linting tool for VHDL and Verilog.
  • nLint : nLint is a comprehensive HDL design rule checker fully integrated with the Debussy debugging system.
  • SureLint : Designers need tools to analyze and debug their designs before integrating with the rest of the project. SureLint offers finite state machine (FSM) analysis, race detection, and many additional checks the most complete lint tool on the market.
   

space.gif

   

Verilog Verification with PLI

  • Jove : The Open Verification Environment for the Java (TM) Platform. Jove is a set of Java APIs and tools to enable Verilog hardware design verification of ASICs and FPGAs using the Java programming language. Jove has been tested extensively with Synopsys VCS and to a lesser extent with the GPL version of cver by Pragmatic C Software.
  • Ruby-VPI : Ruby-VPI is a Ruby interface to Verilog VPI. It lets you create complex Verilog test benches easily and wholly in Ruby.
  • MyHdl : MyHDL is a Python package for using Python as a hardware description and verification language.
  • VTracer project : VTracer is a set of tools (subprojects) used for Verilog Testbench development.
  • Verilog-Pli : Verilog
  • TestBuilder : TestBuilder is an open source initiative providing functional verification tools to hardware developers and incorporating the massive peer review capabilities that only freely distributed open source software allows.
  • Teal : open source c++ class library for verification
   

space.gif

   

Utils

  • FSMDesigner : FSMDesigner is a Java-based Finite State Machine (FSM) editor, which allows the hardware designer to specify complex control circuits in an easy and comfortable way. The graphical FSM is converted into a proprietary state/flow-table format called fsm2. It can be translated into efficient and synthesizable Verilog HDL code by a compiler called fsm2v designed at our chair. FSMDesigner is based on the Simple-Moore FSM model, which completely eliminates the output function by using parts of the state vector as outputs.
  • TimeGen : TimeGen is an engineering CAD tool which allows a digital design engineer the capability to quickly and effectively draw digital timing diagrams. The waveforms can easily be exported to other Window programs, such as Microsoft Word, for use in writing design specifications. TimeGen is less price compared to other tools.
  • Waveformer : Tool for drawing waveforms, to be used for documentation purpose.
  • Perlilog : Perlilog is a design tool, whose main target is easy integration of Verilog IP cores for System-on-Chip (SoC) designs. The philosophy behind Perilog is that an IP core should be like a black box. Fitting it for a certain purpose should be as easy as defining the desired requirements. Connecting the cores, to become a system, should be as easy as drawing a block diagram. Perlilog is written in Perl, currently with no GUI. While the scripts, that the system consists of, are rather sophisticated, only plain Perl knowledge is needed to use its scripting capabilities.
  • Timing Tool : TimingTool is a free to use on-line Timing Diagram Editor. This tool provides very good VHDL and Verilog test benches and requires no download or installation.
   

space.gif

   

space.gif

   

space.gif

   

space.gif

space2.gif

space2.gif

space2.gif

space2.gif

space2.gif

  

Copyright © 1998-2014

Deepak Kumar Tala - All rights reserved

Do you have any Comment? mail me at:deepak@asic-world.com